[2024-10-13 04:39:00.911347 tictac] INFO: xbbs.worker: running job JobMessage(project='managarm', job='package:zstd', repository='https://github.com/managarm/bootstrap-managarm.git', revision='2f39d0784d5e76620f88f52fe32a163e9cd0a4d3', output='tcp://10.0.69.1:16999', build_root='/var/lib/xbbs/tmp/worker', needed_pkgs={'zlib': {'architecture': 'x86_64', 'version': '1.2.12_7'}, 'xz-utils': {'architecture': 'x86_64', 'version': '5.4.4_5'}, 'mlibc-headers': {'architecture': 'x86_64', 'version': '0.0pl4003_2'}, 'libdrm-headers': {'architecture': 'x86_64', 'version': '2.4.115_1'}, 'frigg': {'architecture': 'x86_64', 'version': '0.0pl342_7'}, 'linux-headers': {'architecture': 'x86_64', 'version': '6.9.3_1'}, 'mlibc': {'architecture': 'x86_64', 'version': '0.0pl4003_2'}}, needed_tools={'system-gcc': {'architecture': 'x86_64', 'version': '13.2.3_2'}, 'cross-binutils': {'architecture': 'x86_64', 'version': '2.40_3'}}, prod_pkgs={'zstd': {'architecture': 'x86_64', 'version': '1.5.6_1'}}, prod_tools={}, prod_files=[], tool_repo='https://mirrors.managarm.org/currents/managarm/tool_repo', pkg_repo='https://mirrors.managarm.org/currents/managarm/package_repo', mirror_root='https://mirrors.managarm.org/mirror/', distfile_path='xbbs/x86_64/') [2024-10-13 04:39:00.911922 tictac] INFO: xbbs.worker: running command ['git', 'init'] (params {'cwd': '/var/lib/xbbs/tmp/worker.src'}) hint: Using 'master' as the name for the initial branch. This default branch name hint: is subject to change. To configure the initial branch name to use in all hint: of your new repositories, which will suppress this warning, call: hint: hint: git config --global init.defaultBranch hint: hint: Names commonly chosen instead of 'master' are 'main', 'trunk' and hint: 'development'. The just-created branch can be renamed via this command: hint: hint: git branch -m Initialized empty Git repository in /var/lib/xbbs/tmp/worker.src/.git/ [2024-10-13 04:39:00.925925 tictac] INFO: xbbs.worker: running command ['git', 'remote', 'add', 'origin', 'https://github.com/managarm/bootstrap-managarm.git'] (params {'cwd': '/var/lib/xbbs/tmp/worker.src'}) [2024-10-13 04:39:00.933493 tictac] INFO: xbbs.worker: running command ['git', 'fetch', 'origin'] (params {'cwd': '/var/lib/xbbs/tmp/worker.src'}) From https://github.com/managarm/bootstrap-managarm * [new branch] master -> origin/master [2024-10-13 04:39:01.909632 tictac] INFO: xbbs.worker: running command ['git', 'checkout', '--detach', '2f39d0784d5e76620f88f52fe32a163e9cd0a4d3'] (params {'cwd': '/var/lib/xbbs/tmp/worker.src'}) HEAD is now at 2f39d07 sys-boot: upgrade Limine to 8.1.1 [2024-10-13 04:39:01.951030 tictac] INFO: xbbs.worker: running command ['xbstrap', 'init', '/var/lib/xbbs/tmp/worker.src'] (params {'cwd': '/var/lib/xbbs/tmp/worker'}) Creating cargo-home/config.toml [2024-10-13 04:39:02.970275 tictac] INFO: xbbs.worker: running command ['xbps-install', '-Uy', '-R', 'https://mirrors.managarm.org/currents/managarm/package_repo', '-r', '/var/lib/xbbs/tmp/worker/system-root', '-SM', '--', 'zlib', 'xz-utils', 'mlibc-headers', 'libdrm-headers', 'frigg', 'linux-headers', 'mlibc'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}}) [*] Updating repository `https://mirrors.managarm.org/currents/managarm/package_repo/x86_64-repodata' ... x86_64-repodata: [15KB 26%] 65MB/s ETA: 00m00s x86_64-repodata: 15KB [avg rate: 246MB/s] 7 packages will be downloaded: 7 packages will be installed: zlib-1.2.12_7 xz-utils-5.4.4_5 mlibc-headers-0.0pl4003_2 libdrm-headers-2.4.115_1 frigg-0.0pl342_7 linux-headers-6.9.3_1 mlibc-0.0pl4003_2 Size to download: 8427KB Size required on disk: 31MB Space available on disk: 48GB [*] Downloading packages zlib-1.2.12_7.x86_64.xbps.sig: [512B 100%] 14MB/s ETA: 00m00s zlib-1.2.12_7.x86_64.xbps.sig: 512B [avg rate: 14MB/s] zlib-1.2.12_7.x86_64.xbps: [114KB 3%] 51MB/s ETA: 00m00s zlib-1.2.12_7.x86_64.xbps: 114KB [avg rate: 1440MB/s] zlib-1.2.12_7: verifying RSA signature... xz-utils-5.4.4_5.x86_64.xbps.sig: [512B 100%] 17MB/s ETA: 00m00s xz-utils-5.4.4_5.x86_64.xbps.sig: 512B [avg rate: 17MB/s] xz-utils-5.4.4_5.x86_64.xbps: [642KB 0%] 17MB/s ETA: 00m00s xz-utils-5.4.4_5.x86_64.xbps: [642KB 67%] 1501KB/s ETA: 00m00s xz-utils-5.4.4_5.x86_64.xbps: 642KB [avg rate: 2232KB/s] xz-utils-5.4.4_5: verifying RSA signature... mlibc-headers-0.0pl4003_2.x86_64.xbps.sig: [512B 100%] 10MB/s ETA: 00m00s mlibc-headers-0.0pl4003_2.x86_64.xbps.sig: 512B [avg rate: 10MB/s] mlibc-headers-0.0pl4003_2.x86_64.xbps: [95KB 4%] -- stalled -- ETA: 00m00s mlibc-headers-0.0pl4003_2.x86_64.xbps: 95KB [avg rate: -- stalled --] mlibc-headers-0.0pl4003_2: verifying RSA signature... libdrm-headers-2.4.115_1.x86_64.xbps.sig: [512B 100%] 10MB/s ETA: 00m00s libdrm-headers-2.4.115_1.x86_64.xbps.sig: 512B [avg rate: 10MB/s] libdrm-headers-2.4.115_1.x86_64.xbps: [114KB 3%] -- stalled -- ETA: 00m00s libdrm-headers-2.4.115_1.x86_64.xbps: 114KB [avg rate: -- stalled --] libdrm-headers-2.4.115_1: verifying RSA signature... frigg-0.0pl342_7.x86_64.xbps.sig: [512B 100%] 26MB/s ETA: 00m00s frigg-0.0pl342_7.x86_64.xbps.sig: 512B [avg rate: 26MB/s] frigg-0.0pl342_7.x86_64.xbps: [41KB 9%] 80MB/s ETA: 00m00s frigg-0.0pl342_7.x86_64.xbps: 41KB [avg rate: 811MB/s] frigg-0.0pl342_7: verifying RSA signature... linux-headers-6.9.3_1.x86_64.xbps.sig: [512B 100%] 6849KB/s ETA: 00m00s linux-headers-6.9.3_1.x86_64.xbps.sig: 512B [avg rate: 6849KB/s] linux-headers-6.9.3_1.x86_64.xbps: [3064KB 0%] 32MB/s ETA: 00m00s linux-headers-6.9.3_1.x86_64.xbps: 3064KB [avg rate: 24GB/s] linux-headers-6.9.3_1: verifying RSA signature... mlibc-0.0pl4003_2.x86_64.xbps.sig: [512B 100%] 15MB/s ETA: 00m00s mlibc-0.0pl4003_2.x86_64.xbps.sig: 512B [avg rate: 15MB/s] mlibc-0.0pl4003_2.x86_64.xbps: [4354KB 0%] 66MB/s ETA: 00m00s mlibc-0.0pl4003_2.x86_64.xbps: [4354KB 69%] 3014KB/s ETA: 00m00s mlibc-0.0pl4003_2.x86_64.xbps: 4354KB [avg rate: 4316KB/s] mlibc-0.0pl4003_2: verifying RSA signature... [*] Collecting package files zlib-1.2.12_7: collecting files... xz-utils-5.4.4_5: collecting files... mlibc-headers-0.0pl4003_2: collecting files... libdrm-headers-2.4.115_1: collecting files... frigg-0.0pl342_7: collecting files... linux-headers-6.9.3_1: collecting files... mlibc-0.0pl4003_2: collecting files... [*] Unpacking packages zlib-1.2.12_7: unpacking ... xz-utils-5.4.4_5: unpacking ... mlibc-headers-0.0pl4003_2: unpacking ... libdrm-headers-2.4.115_1: unpacking ... frigg-0.0pl342_7: unpacking ... linux-headers-6.9.3_1: unpacking ... mlibc-0.0pl4003_2: unpacking ... 7 downloaded, 7 installed, 0 updated, 7 configured, 0 removed. [2024-10-13 04:39:08.882052 tictac] DEBUG: xbbs.worker: creating repository with existing packages... [2024-10-13 04:39:08.882773 tictac] DEBUG: xbbs.worker: ... found xz-utils-5.4.4_5.x86_64.xbps [2024-10-13 04:39:08.884139 tictac] INFO: xbbs.worker: running command ['xbps-rindex', '-fa', '--', 'xz-utils-5.4.4_5.x86_64.xbps'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}, 'cwd': '/var/lib/xbbs/tmp/worker/xbps-repo'}) index: added `xz-utils-5.4.4_5' (x86_64). index: 1 packages registered. [2024-10-13 04:39:08.909790 tictac] DEBUG: xbbs.worker: ... found mlibc-0.0pl4003_2.x86_64.xbps [2024-10-13 04:39:08.916512 tictac] INFO: xbbs.worker: running command ['xbps-rindex', '-fa', '--', 'mlibc-0.0pl4003_2.x86_64.xbps'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}, 'cwd': '/var/lib/xbbs/tmp/worker/xbps-repo'}) index: added `mlibc-0.0pl4003_2' (x86_64). index: 2 packages registered. [2024-10-13 04:39:08.965252 tictac] DEBUG: xbbs.worker: ... found mlibc-headers-0.0pl4003_2.x86_64.xbps [2024-10-13 04:39:08.966236 tictac] INFO: xbbs.worker: running command ['xbps-rindex', '-fa', '--', 'mlibc-headers-0.0pl4003_2.x86_64.xbps'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}, 'cwd': '/var/lib/xbbs/tmp/worker/xbps-repo'}) index: added `mlibc-headers-0.0pl4003_2' (x86_64). index: 3 packages registered. [2024-10-13 04:39:08.991334 tictac] DEBUG: xbbs.worker: ... found libdrm-headers-2.4.115_1.x86_64.xbps [2024-10-13 04:39:08.992353 tictac] INFO: xbbs.worker: running command ['xbps-rindex', '-fa', '--', 'libdrm-headers-2.4.115_1.x86_64.xbps'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}, 'cwd': '/var/lib/xbbs/tmp/worker/xbps-repo'}) index: added `libdrm-headers-2.4.115_1' (x86_64). index: 4 packages registered. [2024-10-13 04:39:09.016045 tictac] DEBUG: xbbs.worker: ... found frigg-0.0pl342_7.x86_64.xbps [2024-10-13 04:39:09.016975 tictac] INFO: xbbs.worker: running command ['xbps-rindex', '-fa', '--', 'frigg-0.0pl342_7.x86_64.xbps'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}, 'cwd': '/var/lib/xbbs/tmp/worker/xbps-repo'}) index: added `frigg-0.0pl342_7' (x86_64). index: 5 packages registered. [2024-10-13 04:39:09.042509 tictac] DEBUG: xbbs.worker: ... found linux-headers-6.9.3_1.x86_64.xbps [2024-10-13 04:39:09.046885 tictac] INFO: xbbs.worker: running command ['xbps-rindex', '-fa', '--', 'linux-headers-6.9.3_1.x86_64.xbps'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}, 'cwd': '/var/lib/xbbs/tmp/worker/xbps-repo'}) index: added `linux-headers-6.9.3_1' (x86_64). index: 6 packages registered. [2024-10-13 04:39:09.086345 tictac] DEBUG: xbbs.worker: ... found zlib-1.2.12_7.x86_64.xbps [2024-10-13 04:39:09.087328 tictac] INFO: xbbs.worker: running command ['xbps-rindex', '-fa', '--', 'zlib-1.2.12_7.x86_64.xbps'] (params {'extra_env': {'XBPS_ARCH': 'x86_64'}, 'cwd': '/var/lib/xbbs/tmp/worker/xbps-repo'}) index: added `zlib-1.2.12_7' (x86_64). index: 7 packages registered. [2024-10-13 04:39:35.378927 tictac] INFO: xbbs.worker: running command ['xbstrap-pipeline', 'run-job', '--keep-going', '--progress-file', 'fd:18', 'package:zstd'] (params {'cwd': '/var/lib/xbbs/tmp/worker', 'pass_fds': (18,)}) xbstrap: Running the following plan: fetch zstd checkout zstd patch zstd regenerate zstd configure zstd build zstd pack zstd xbstrap: fetch zstd [1/7] Initialized empty Git repository in /var/lib/xbbs/tmp/worker.src/ports/zstd/.git/ From https://mirrors.managarm.org/mirror/git/zstd * [new tag] v1.5.6 -> v1.5.6 xbstrap: checkout zstd [2/7] [2024-10-13 04:39:39.048780 tictac] DEBUG: xbbs.worker: got notify {'action': 'fetch', 'artifact_files': [], 'n_all': 7, 'n_this': 1, 'status': 'success', 'subject': 'zstd'} HEAD is now at 794ea1b Merge pull request #3984 from facebook/dev xbstrap: patch zstd [3/7] xbstrap: regenerate zstd [4/7] [2024-10-13 04:39:39.135894 tictac] DEBUG: xbbs.worker: got notify {'action': 'checkout', 'artifact_files': [], 'n_all': 7, 'n_this': 2, 'status': 'success', 'subject': 'zstd'} xbstrap: configure zstd [5/7] [2024-10-13 04:39:39.137140 tictac] DEBUG: xbbs.worker: got notify {'action': 'patch', 'artifact_files': [], 'n_all': 7, 'n_this': 3, 'status': 'success', 'subject': 'zstd'} [2024-10-13 04:39:39.138204 tictac] DEBUG: xbbs.worker: got notify {'action': 'regenerate', 'artifact_files': [], 'n_all': 7, 'n_this': 4, 'status': 'success', 'subject': 'zstd'} xbstrap: Running ['cp', '-r', '@THIS_SOURCE_DIR@/.', '@THIS_BUILD_DIR@'] (tools: ['system-gcc', 'cross-binutils']) via cbuildrt PID init is 713209 (outside the namespace) xbstrap: build zstd [6/7] [2024-10-13 04:39:39.443411 tictac] DEBUG: xbbs.worker: got notify {'action': 'configure', 'artifact_files': [], 'n_all': 7, 'n_this': 5, 'status': 'success', 'subject': 'zstd'} xbstrap: Running ['make', 'prefix=/usr', '-j@PARALLELISM@'] (tools: ['system-gcc', 'cross-binutils']) via cbuildrt PID init is 713214 (outside the namespace) make[1]: Entering directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/lib' make[1]: Entering directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/programs' creating pkgconfig CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/debug.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/entropy_common.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/error_private.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/fse_decompress.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/pool.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/threading.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/xxhash.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_common.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_v05.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_v06.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_v07.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/fse_compress.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/hist.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/huf_compress.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_compress.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/debug.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_compress_literals.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/entropy_common.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_compress_sequences.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_compress_superblock.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_double_fast.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_fast.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_lazy.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_ldm.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_opt.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/error_private.o CC obj/conf_76c1186397d9204b11fba10b5b973579/debug.o CC obj/conf_76c1186397d9204b11fba10b5b973579/entropy_common.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstdmt_compress.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/fse_decompress.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/huf_decompress.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_ddict.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_decompress.o CC obj/conf_76c1186397d9204b11fba10b5b973579/error_private.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zstd_decompress_block.o CC obj/conf_76c1186397d9204b11fba10b5b973579/fse_decompress.o AS obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/huf_decompress_amd64.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/cover.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/divsufsort.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/pool.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/threading.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/xxhash.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/fastcover.o CC obj/conf_747c2e97db9e073634bc1ccdbd8d2f21/static/zdict.o CC obj/conf_76c1186397d9204b11fba10b5b973579/pool.o CC obj/conf_76c1186397d9204b11fba10b5b973579/threading.o CC obj/conf_76c1186397d9204b11fba10b5b973579/xxhash.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_common.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_common.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_v05.o CC obj/conf_76c1186397d9204b11fba10b5b973579/fse_compress.o CC obj/conf_76c1186397d9204b11fba10b5b973579/hist.o CC obj/conf_76c1186397d9204b11fba10b5b973579/huf_compress.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_compress.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_compress_literals.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_compress_sequences.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_compress_superblock.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_double_fast.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_fast.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_lazy.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_ldm.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_opt.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstdmt_compress.o CC obj/conf_76c1186397d9204b11fba10b5b973579/huf_decompress.o AS obj/conf_76c1186397d9204b11fba10b5b973579/huf_decompress_amd64.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_ddict.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_decompress.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_decompress_block.o CC obj/conf_76c1186397d9204b11fba10b5b973579/cover.o CC obj/conf_76c1186397d9204b11fba10b5b973579/divsufsort.o CC obj/conf_76c1186397d9204b11fba10b5b973579/fastcover.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zdict.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_v06.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_v07.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/fse_compress.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/hist.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_v05.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/huf_compress.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_compress.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_v06.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstd_v07.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_compress_literals.o CC obj/conf_76c1186397d9204b11fba10b5b973579/benchfn.o CC obj/conf_76c1186397d9204b11fba10b5b973579/benchzstd.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_compress_sequences.o CC obj/conf_76c1186397d9204b11fba10b5b973579/datagen.o CC obj/conf_76c1186397d9204b11fba10b5b973579/dibio.o CC obj/conf_76c1186397d9204b11fba10b5b973579/fileio.o CC obj/conf_76c1186397d9204b11fba10b5b973579/fileio_asyncio.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_compress_superblock.o CC obj/conf_76c1186397d9204b11fba10b5b973579/lorem.o CC obj/conf_76c1186397d9204b11fba10b5b973579/timefn.o CC obj/conf_76c1186397d9204b11fba10b5b973579/util.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstdcli.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_double_fast.o CC obj/conf_76c1186397d9204b11fba10b5b973579/zstdcli_trace.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_fast.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_lazy.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_ldm.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_opt.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstdmt_compress.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/huf_decompress.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_ddict.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_decompress.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zstd_decompress_block.o AS obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/huf_decompress_amd64.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/cover.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/divsufsort.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/fastcover.o CC obj/conf_1260ab76def35084f28b060ccb97f4da/dynamic/zdict.o compiling single-threaded static library 1.5.6 ==> building with threading support ==> building zstd with .gz compression support ==> building zstd with .xz/.lzma compression support ==> no liblz4, building zstd without .lz4 support LINK obj/conf_76c1186397d9204b11fba10b5b973579/zstd zstd build completed make[1]: Leaving directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/programs' compiling multi-threaded dynamic library 1.5.6 creating versioned links release build completed make[1]: Leaving directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/lib' xbstrap: Running ['make', 'prefix=/usr', 'install'] (tools: ['system-gcc', 'cross-binutils']) via cbuildrt PID init is 713943 (outside the namespace) make[1]: Entering directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/lib' Installing static library Installing shared library Installing includes zstd static and shared library installed make[1]: Leaving directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/lib' make[1]: Entering directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/programs' Installing binaries Installing man pages zstd installation completed make[1]: Leaving directory '/var/lib/managarm-buildenv/build/pkg-builds/zstd/programs' xbstrap: pack zstd [7/7] [2024-10-13 04:40:06.828612 tictac] DEBUG: xbbs.worker: got notify {'action': 'build', 'artifact_files': [], 'n_all': 7, 'n_this': 6, 'status': 'success', 'subject': 'zstd'} xbstrap: Running ['xbps-create', '-A', 'x86_64', '-s', 'zstd', '-n', 'zstd-1.5.6_1', '-D', 'mlibc>=0 zlib>=0 xz-utils>=0', '--desc', 'zstd fast compression library', '--long-desc', 'This package provides the programs to interact with Zstandard compressed files.', '--license', 'BSD-3-Clause', '--homepage', 'https://facebook.github.io/zstd/', '--maintainer', 'Dennis Bonke ', '--tags', 'app-arch', '/tmp/tmpnsx8wxu6'] xbstrap: Running ['xbps-rindex', '-fa', '/var/lib/xbbs/tmp/worker/xbps-repo/zstd-1.5.6_1.x86_64.xbps'] (x86_64) [2024-10-13 04:40:07.135512 tictac] DEBUG: xbbs.worker: got notify {'action': 'pack', 'architecture': 'x86_64', 'artifact_files': [], 'n_all': 7, 'n_this': 7, 'status': 'success', 'subject': 'zstd'} [2024-10-13 04:40:07.199762 tictac] DEBUG: xbbs.worker: successfully uploaded package zstd for JobMessage(project='managarm', job='package:zstd', repository='https://github.com/managarm/bootstrap-managarm.git', revision='2f39d0784d5e76620f88f52fe32a163e9cd0a4d3', output='tcp://10.0.69.1:16999', build_root='/var/lib/xbbs/tmp/worker', needed_pkgs={'zlib': {'architecture': 'x86_64', 'version': '1.2.12_7'}, 'xz-utils': {'architecture': 'x86_64', 'version': '5.4.4_5'}, 'mlibc-headers': {'architecture': 'x86_64', 'version': '0.0pl4003_2'}, 'libdrm-headers': {'architecture': 'x86_64', 'version': '2.4.115_1'}, 'frigg': {'architecture': 'x86_64', 'version': '0.0pl342_7'}, 'linux-headers': {'architecture': 'x86_64', 'version': '6.9.3_1'}, 'mlibc': {'architecture': 'x86_64', 'version': '0.0pl4003_2'}}, needed_tools={'system-gcc': {'architecture': 'x86_64', 'version': '13.2.3_2'}, 'cross-binutils': {'architecture': 'x86_64', 'version': '2.40_3'}}, prod_pkgs={'zstd': {'architecture': 'x86_64', 'version': '1.5.6_1'}}, prod_tools={}, prod_files=[], tool_repo='https://mirrors.managarm.org/currents/managarm/tool_repo', pkg_repo='https://mirrors.managarm.org/currents/managarm/package_repo', mirror_root='https://mirrors.managarm.org/mirror/', distfile_path='xbbs/x86_64/') [2024-10-13 04:40:07.223631 tictac] INFO: xbbs.worker: job done. return code: 0